Detalle noticia

TSMC's Technological Advancements and Production Plans

07/05/2024

Last week at its Technology Symposium, TSMC made significant announcements regarding its future production plans and technological advancements. The company revealed that it is set to commence high volume production on its N2 process utilizing Gate-All-Around (GAA) transistors later this year, marking a pivotal step forward in its semiconductor manufacturing capabilities. Additionally, TSMC disclosed that production on its N2P process incorporating both GAA and backside power delivery (BSD) is scheduled to commence in 2026, showcasing their commitment to pushing the boundaries of innovation in the industry.
 

2nm process

In comparison, Intel announced its forthcoming 18A process, featuring both GAA and BSD components, expected to enter production next year. Intel aims to reclaim its position as a leader in process technology within the industry with the introduction of this cutting-edge technology, highlighting the competitive landscape within the semiconductor market.

On the other hand, Samsung made strides in GAA technology by initiating the production of GAA Integrated Circuits (ICs) on 3nm nodes two years ago. However, despite their early adoption, reports emerged suggesting challenges with yields, underscoring the complexities inherent in advancing semiconductor processes. Samsung's roadmap indicates that the integration of BSD is earmarked for their 2nm process, initially planned for production in February of this year, demonstrating a strategic approach to technology evolution.

Furthermore, Samsung announced a significant foundry contract for their 2nm process with Preferred Networks (PFN), a Japanese AI startup founded ten years ago. This collaboration highlights the importance of partnerships in driving innovation and fostering synergies between established industry players and emerging technology companies.

In conclusion, the recent announcements from TSMC, Intel, and Samsung underscore the rapid pace of technological advancements in the semiconductor industry and the strategic vision of key players to maintain their competitiveness and drive innovation forward. These developments pave the way for exciting opportunities and breakthroughs in semiconductor manufacturing processes in the years to come.

Etiquetas

Noticias cruzadas

1. E-Components: Part Number Search

E-Components: Part Number Search

2. Mouser Signs Distribution Agreement with Airgain f...

Mouser Signs Distribution Agreement with Airgain for NimbeLink Embedded Modems and Wireless Products

3. TSMC Anticipates Stock Market Growth

TSMC Anticipates Stock Market Growth

4. Achronix Launches FPGA IP Blocks with 400GbE Conne...

Achronix Launches FPGA IP Blocks with 400GbE Connectivity

5. TLX9910: Toshiba's latest innovation

TLX9910: Toshiba's latest innovation

6. Global Semiconductor Industry Outlook for 2024

Global Semiconductor Industry Outlook for 2024

7. Tech investment landscape in Europe this year is e...

Tech investment landscape in Europe this year is estimated to be around $45 billion

8. TSMC Powers Ahead with a 16.5% Revenue Surge in Q1...

TSMC Powers Ahead with a 16.5% Revenue Surge in Q1 2024, Demonstrating Strong Momentum

9. The Silicon Photonics Market Has Experienced Remar...

The Silicon Photonics Market Has Experienced Remarkable Growth

10. Intel's Investment in High NA EUV Technology

Intel's Investment in High NA EUV Technology