Detalle noticia

TSMC's Technological Advancements and Production Plans

07/05/2024

Last week at its Technology Symposium, TSMC made significant announcements regarding its future production plans and technological advancements. The company revealed that it is set to commence high volume production on its N2 process utilizing Gate-All-Around (GAA) transistors later this year, marking a pivotal step forward in its semiconductor manufacturing capabilities. Additionally, TSMC disclosed that production on its N2P process incorporating both GAA and backside power delivery (BSD) is scheduled to commence in 2026, showcasing their commitment to pushing the boundaries of innovation in the industry.
 

2nm process

In comparison, Intel announced its forthcoming 18A process, featuring both GAA and BSD components, expected to enter production next year. Intel aims to reclaim its position as a leader in process technology within the industry with the introduction of this cutting-edge technology, highlighting the competitive landscape within the semiconductor market.

On the other hand, Samsung made strides in GAA technology by initiating the production of GAA Integrated Circuits (ICs) on 3nm nodes two years ago. However, despite their early adoption, reports emerged suggesting challenges with yields, underscoring the complexities inherent in advancing semiconductor processes. Samsung's roadmap indicates that the integration of BSD is earmarked for their 2nm process, initially planned for production in February of this year, demonstrating a strategic approach to technology evolution.

Furthermore, Samsung announced a significant foundry contract for their 2nm process with Preferred Networks (PFN), a Japanese AI startup founded ten years ago. This collaboration highlights the importance of partnerships in driving innovation and fostering synergies between established industry players and emerging technology companies.

In conclusion, the recent announcements from TSMC, Intel, and Samsung underscore the rapid pace of technological advancements in the semiconductor industry and the strategic vision of key players to maintain their competitiveness and drive innovation forward. These developments pave the way for exciting opportunities and breakthroughs in semiconductor manufacturing processes in the years to come.

Etiquetas

Noticias cruzadas

1. CR2450 battery: Powering Your Devices Efficiently

CR2450 battery: Powering Your Devices Efficiently

2. MESFET (metal–semiconductor field-effect transistor)

MESFET (metal–semiconductor field-effect transistor)

3. IBM and ASYMPT Introduce Revolutionary Hybrid Bond...

IBM and ASYMPT Introduce Revolutionary Hybrid Bonding Method for Chiplet

4. SMIC 7nm process: Huawei plans to manufacture 5G ...

SMIC 7nm process: Huawei plans to manufacture 5G chips

5. Oemstrade parts

Oemstrade parts

6. Circuit Card Assembly: Guide and Best Practices

Circuit Card Assembly: Guide and Best Practices

7. iDEAL Semiconductor Successfully Concludes Series ...

iDEAL Semiconductor Successfully Concludes Series C Funding Round

8. TSMC's Technological Advancements and Production P...

TSMC's Technological Advancements and Production Plans

9. Semiconductor Device Fabrication

Semiconductor Device Fabrication

10. The Soviet Union's Rise in Microelectronics in the...

The Soviet Union's Rise in Microelectronics in the 1960s